From d4e117939818af4ed0e148f6352a81c19fa4631c Mon Sep 17 00:00:00 2001 From: Friedrich Beckmann Date: Sun, 28 Apr 2024 13:11:57 +0200 Subject: top_shift: add edge.vhd and ringcnt.vhd as empty modules --- src/ringcnt.vhd | 11 +++++++++++ 1 file changed, 11 insertions(+) create mode 100644 src/ringcnt.vhd (limited to 'src/ringcnt.vhd') diff --git a/src/ringcnt.vhd b/src/ringcnt.vhd new file mode 100644 index 0000000..5fc0d60 --- /dev/null +++ b/src/ringcnt.vhd @@ -0,0 +1,11 @@ +library ieee; +use ieee.std_logic_1164.all; + +entity ringcnt is +end entity; + +architecture rtl of ringcnt is +begin + +end architecture rtl; + -- cgit v1.2.3