aboutsummaryrefslogtreecommitdiff
path: root/src/cnt1sec.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'src/cnt1sec.vhd')
-rw-r--r--src/cnt1sec.vhd16
1 files changed, 16 insertions, 0 deletions
diff --git a/src/cnt1sec.vhd b/src/cnt1sec.vhd
new file mode 100644
index 0000000..a722ff9
--- /dev/null
+++ b/src/cnt1sec.vhd
@@ -0,0 +1,16 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+entity cnt1sec is
+ port (
+ clk : in std_ulogic;
+ rst_n : in std_ulogic;
+ en_o : out std_ulogic);
+end entity;
+
+architecture rtl of cnt1sec is
+begin
+
+end architecture rtl;
+