diff options
Diffstat (limited to 'src/uart_rx_shift.vhd')
-rw-r--r-- | src/uart_rx_shift.vhd | 16 |
1 files changed, 16 insertions, 0 deletions
diff --git a/src/uart_rx_shift.vhd b/src/uart_rx_shift.vhd new file mode 100644 index 0000000..273931c --- /dev/null +++ b/src/uart_rx_shift.vhd @@ -0,0 +1,16 @@ +library ieee; +use ieee.std_logic_1164.all; + +entity uart_rx_shift is + port ( + clk : in std_ulogic; + rst_n : in std_ulogic; + shift_i : in std_ulogic; + ser_i : in std_ulogic; + d_o : out std_ulogic_vector(7 downto 0)); +end entity; + +architecture rtl of uart_rx_shift is +begin +end architecture rtl; + |