aboutsummaryrefslogtreecommitdiff
path: root/src/edge.vhd
blob: 23dba7071d304cd7014b0253382a6cad86e7a1e3 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
library ieee;
use ieee.std_logic_1164.all;

entity edge is
  port (
    clk     : in std_ulogic;
    rst_n   : in std_ulogic;
    x_i     : in std_ulogic;
    edge_o  : out std_ulogic);
end entity;

architecture rtl of edge is
begin

end architecture rtl;