aboutsummaryrefslogtreecommitdiff
path: root/src/pwm.vhd
blob: b23a1705a17715d3048aea57684f14c79d4c8926 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity pwm is
  port (
    clk   : in std_ulogic;
    rst_n : in std_ulogic;
    ctrl_i : in std_ulogic_vector(3 downto 0);
    pwm_o  : out std_ulogic);
end entity;

architecture rtl of pwm is
begin

end architecture rtl;