aboutsummaryrefslogtreecommitdiff
path: root/src/top_count.vhd
blob: 0a843491f0aacca4fff09b0a54e2fb8f9c02d3bf (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
library ieee;
use ieee.std_logic_1164.all;

entity top_count is 
port ( SW       : in  std_ulogic_vector(9 downto 0);
       KEY      : in  std_ulogic_vector(3 downto 0);
       CLOCK_50 : in  std_ulogic;
       HEX0     : out std_ulogic_vector(6 downto 0);
       EXP      : out std_ulogic_vector(7 downto 0);
       LEDG     : out std_ulogic_vector(3 downto 0);
       LEDR     : out std_ulogic_vector(9 downto 0));
end entity;

architecture rtl of top_count is
  signal clk     : std_ulogic;
  signal rst_n   : std_ulogic;
  signal x       : std_ulogic;
  signal en      : std_ulogic;
  signal pwm     : std_ulogic;
  signal cnt     : std_ulogic_vector(3 downto 0);
begin
  -- Assign the inputs to signals with reasonable names
  clk <= CLOCK_50;
  rst_n <= KEY(0);
  x <= KEY(1);

  cnt <= "0000";
  en <= '0';
  pwm <= '0';
  
  bin2seg_inst: entity work.bin2seg
   port map(
      bin_i => cnt,
      seg_o => HEX0
  );

  -- Set the outputs;
  EXP(7 downto 4) <= cnt;
  EXP(3 downto 0) <= (3 => en,
          2 => pwm,
          1 => rst_n,
          0 => clk);
  LEDR <= SW;
  LEDG <= KEY;

end architecture rtl;