aboutsummaryrefslogtreecommitdiff
path: root/src/uart_rx_bitcnt.vhd
blob: 7aec27aeac2f5cad31a4a9b8a925c02692de712d (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity uart_rx_bitcnt is
  port (
    clk        : in std_ulogic;
    rst_n      : in std_ulogic;
    edge_i     : in std_ulogic;
    en_h_i     : in std_ulogic;
    en_f_i     : in std_ulogic;
    rx_baudcnt_res_o : out std_ulogic;
    rx_shift_o : out std_ulogic;
    dv_o       : out std_ulogic);
end entity;

architecture rtl of uart_rx_bitcnt is
begin
end architecture rtl;