aboutsummaryrefslogtreecommitdiff
path: root/src/edge.vhd
diff options
context:
space:
mode:
authorFriedrich Beckmann <friedrich.beckmann@hs-augsburg.de>2024-04-28 13:11:57 +0200
committerFriedrich Beckmann <friedrich.beckmann@hs-augsburg.de>2024-04-28 13:11:57 +0200
commitd4e117939818af4ed0e148f6352a81c19fa4631c (patch)
tree1e22b7f579296af034f4fb9449a5f1f8920fe374 /src/edge.vhd
parente367cceeadc06e7007e1ff9757dfd568184dae02 (diff)
top_shift: add edge.vhd and ringcnt.vhd as empty modules
Diffstat (limited to 'src/edge.vhd')
-rw-r--r--src/edge.vhd16
1 files changed, 16 insertions, 0 deletions
diff --git a/src/edge.vhd b/src/edge.vhd
new file mode 100644
index 0000000..23dba70
--- /dev/null
+++ b/src/edge.vhd
@@ -0,0 +1,16 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity edge is
+ port (
+ clk : in std_ulogic;
+ rst_n : in std_ulogic;
+ x_i : in std_ulogic;
+ edge_o : out std_ulogic);
+end entity;
+
+architecture rtl of edge is
+begin
+
+end architecture rtl;
+