aboutsummaryrefslogtreecommitdiff
path: root/src/de1_ledsw.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'src/de1_ledsw.vhd')
-rw-r--r--src/de1_ledsw.vhd13
1 files changed, 13 insertions, 0 deletions
diff --git a/src/de1_ledsw.vhd b/src/de1_ledsw.vhd
new file mode 100644
index 0000000..67e8b02
--- /dev/null
+++ b/src/de1_ledsw.vhd
@@ -0,0 +1,13 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+-- Simple module that connects the SW switches to the LEDR lights
+entity de1_ledsw is
+port ( SW : in std_ulogic_vector(9 downto 0);
+ LEDR : out std_ulogic_vector(9 downto 0)); -- red LEDs
+end entity;
+
+architecture rtl of de1_ledsw is
+begin
+ LEDR <= SW;
+end architecture rtl;