aboutsummaryrefslogtreecommitdiff
path: root/src/de1_ledsw.vhd
blob: 67e8b020c3a8b6e286edab15d48085524e000432 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
library ieee;
use ieee.std_logic_1164.all;

-- Simple module that connects the SW switches to the LEDR lights
entity de1_ledsw is 
port ( SW   : in      std_ulogic_vector(9 downto 0);
       LEDR : out     std_ulogic_vector(9 downto 0));  -- red LEDs
end entity;

architecture rtl of de1_ledsw is
begin
  LEDR <= SW;
end architecture rtl;