blob: 17260a6dbb03683bc2e6c38e1e9cf6bed52bacf2 (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
|
create_clock -period 20.000 -name CLOCK_50 [get_ports CLOCK_50]
set default_inputs [get_ports KEY0]
set default_inputs [add_to_collection $default_inputs [get_ports ADC_OTR*]]
# Some clock for ADC/DAC Board
set clock_outputs [get_ports ADC_CLK*]
set clock_outputs [add_to_collection $clock_outputs [get_ports DAC_CLK*]]
set clock_outputs [add_to_collection $clock_outputs [get_ports DAC_WRT*]]
set default_outputs [remove_from_collection [all_outputs] [get_ports DAC_D*]]
set default_outputs [remove_from_collection $default_outputs $clock_outputs]
# Default Timing Constrains for Inputs/Outputs
set_input_delay -clock CLOCK_50 5 $default_inputs
set_output_delay -clock CLOCK_50 5 $default_outputs
# Special Timing for ADC and DAC Data I/O
set_input_delay -clock CLOCK_50 17 [get_ports ADC_D*]
set_output_delay -clock CLOCK_50 -clock_fall 7 [get_ports DAC_D*]
# Special Timing for clock outputs
set_output_delay -clock CLOCK_50 2 $clock_outputs
|