diff options
author | Friedrich Beckmann <friedrich.beckmann@tha.de> | 2024-05-10 12:28:38 +0200 |
---|---|---|
committer | Friedrich Beckmann <friedrich.beckmann@tha.de> | 2024-05-10 12:28:38 +0200 |
commit | bc7d43b160864d53e7e4bbea81b29d5e8903baf4 (patch) | |
tree | fbe7a598af34ddbc585976dfe39af253b1dfd864 | |
parent | ee8d484d8531e425a06f23519fc09bbf2e1acf4e (diff) |
add top_count
-rw-r--r-- | pnr/top_count/makefile | 10 | ||||
-rw-r--r-- | pnr/top_count/top_count_pins.tcl | 47 | ||||
-rw-r--r-- | sim/top_count/makefile | 9 | ||||
-rw-r--r-- | sim/top_count/makefile.sources | 8 | ||||
-rw-r--r-- | sim/top_count/view_signals.gtkw | 38 | ||||
-rw-r--r-- | src/cnt1sec.vhd | 16 | ||||
-rw-r--r-- | src/cntm13.vhd | 18 | ||||
-rw-r--r-- | src/t_top_count.vhd | 75 | ||||
-rw-r--r-- | src/top_count.vhd | 43 | ||||
-rw-r--r-- | vhdl_ls.toml | 9 |
10 files changed, 273 insertions, 0 deletions
diff --git a/pnr/top_count/makefile b/pnr/top_count/makefile new file mode 100644 index 0000000..1a5ccd5 --- /dev/null +++ b/pnr/top_count/makefile @@ -0,0 +1,10 @@ + +PROJECT = top_count + +# Take the vhdl files of the project +# from the simulation setup. This defines SYN_SOURCE_FILES +include ../../sim/$(PROJECT)/makefile.sources +# List all files for the project +SOURCE_FILES = $(SYN_SOURCE_FILES) + +include ../makefile diff --git a/pnr/top_count/top_count_pins.tcl b/pnr/top_count/top_count_pins.tcl new file mode 100644 index 0000000..84f817e --- /dev/null +++ b/pnr/top_count/top_count_pins.tcl @@ -0,0 +1,47 @@ +# assign pin locations to a quartus project + +set_location_assignment PIN_L22 -to SW[0] +set_location_assignment PIN_L21 -to SW[1] +set_location_assignment PIN_M22 -to SW[2] +set_location_assignment PIN_V12 -to SW[3] +set_location_assignment PIN_W12 -to SW[4] +set_location_assignment PIN_U12 -to SW[5] +set_location_assignment PIN_U11 -to SW[6] +set_location_assignment PIN_M2 -to SW[7] +set_location_assignment PIN_M1 -to SW[8] +set_location_assignment PIN_L2 -to SW[9] +set_location_assignment PIN_R20 -to LEDR[0] +set_location_assignment PIN_R19 -to LEDR[1] +set_location_assignment PIN_U19 -to LEDR[2] +set_location_assignment PIN_Y19 -to LEDR[3] +set_location_assignment PIN_T18 -to LEDR[4] +set_location_assignment PIN_V19 -to LEDR[5] +set_location_assignment PIN_Y18 -to LEDR[6] +set_location_assignment PIN_U18 -to LEDR[7] +set_location_assignment PIN_R18 -to LEDR[8] +set_location_assignment PIN_R17 -to LEDR[9] +set_location_assignment PIN_R22 -to KEY[0] +set_location_assignment PIN_R21 -to KEY[1] +set_location_assignment PIN_T22 -to KEY[2] +set_location_assignment PIN_T21 -to KEY[3] +set_location_assignment PIN_L1 -to CLOCK_50 +set_location_assignment PIN_U22 -to LEDG[0] +set_location_assignment PIN_U21 -to LEDG[1] +set_location_assignment PIN_V22 -to LEDG[2] +set_location_assignment PIN_V21 -to LEDG[3] +# This is expansion port 1 GPI_1 from scripts/de1_pin_assignments_minimumio.tcl +set_location_assignment PIN_H12 -to EXP[0] +set_location_assignment PIN_H13 -to EXP[1] +set_location_assignment PIN_H14 -to EXP[2] +set_location_assignment PIN_G15 -to EXP[3] +set_location_assignment PIN_E14 -to EXP[4] +set_location_assignment PIN_E15 -to EXP[5] +set_location_assignment PIN_F15 -to EXP[6] +set_location_assignment PIN_G16 -to EXP[7] +set_location_assignment PIN_J2 -to HEX0[0] +set_location_assignment PIN_J1 -to HEX0[1] +set_location_assignment PIN_H2 -to HEX0[2] +set_location_assignment PIN_H1 -to HEX0[3] +set_location_assignment PIN_F2 -to HEX0[4] +set_location_assignment PIN_F1 -to HEX0[5] +set_location_assignment PIN_E2 -to HEX0[6]
\ No newline at end of file diff --git a/sim/top_count/makefile b/sim/top_count/makefile new file mode 100644 index 0000000..d2eda6a --- /dev/null +++ b/sim/top_count/makefile @@ -0,0 +1,9 @@ +PROJECT = top_count + +# This include must define SYN_SOURCE_FILES +include ./makefile.sources + +SOURCE_FILES = $(SYN_SOURCE_FILES) \ +../../src/t_$(PROJECT).vhd + +include ../makefile diff --git a/sim/top_count/makefile.sources b/sim/top_count/makefile.sources new file mode 100644 index 0000000..fd93aea --- /dev/null +++ b/sim/top_count/makefile.sources @@ -0,0 +1,8 @@ +# All files which synthesized + +SYN_SOURCE_FILES = \ +../../src/cntm13.vhd \ +../../src/cnt1sec.vhd \ +../../src/bin2seg.vhd \ +../../src/ringcnt.vhd \ +../../src/top_count.vhd diff --git a/sim/top_count/view_signals.gtkw b/sim/top_count/view_signals.gtkw new file mode 100644 index 0000000..3fa122f --- /dev/null +++ b/sim/top_count/view_signals.gtkw @@ -0,0 +1,38 @@ +[*] +[*] GTKWave Analyzer v3.3.118 (w)1999-2023 BSI +[*] Fri May 10 10:14:46 2024 +[*] +[dumpfile] "/home/caeuser/projects/dtlab/sim/top_count/t_top_count.ghw" +[dumpfile_mtime] "Fri May 10 10:12:17 2024" +[dumpfile_size] 1487 +[savefile] "/home/caeuser/projects/dtlab/sim/top_count/view_signals.gtkw" +[timestart] 0 +[size] 1101 671 +[pos] -1 -1 +*-26.740849 48700000 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +[treeopen] top. +[treeopen] top.t_top_count. +[treeopen] top.t_top_count.dut. +[treeopen] top.t_top_count.dut.bin2seg_inst. +[sst_width] 276 +[signals_width] 262 +[sst_expanded] 1 +[sst_vpaned_height] 216 +@28 +top.t_top_count.dut.clk +top.t_top_count.dut.rst_n +@800023 +#{top.t_top_count.sim_exp[7:0]} top.t_top_count.sim_exp[7] top.t_top_count.sim_exp[6] top.t_top_count.sim_exp[5] top.t_top_count.sim_exp[4] top.t_top_count.sim_exp[3] top.t_top_count.sim_exp[2] top.t_top_count.sim_exp[1] top.t_top_count.sim_exp[0] +@29 +top.t_top_count.sim_exp[7] +top.t_top_count.sim_exp[6] +top.t_top_count.sim_exp[5] +top.t_top_count.sim_exp[4] +top.t_top_count.sim_exp[3] +top.t_top_count.sim_exp[2] +top.t_top_count.sim_exp[1] +top.t_top_count.sim_exp[0] +@1001201 +-group_end +[pattern_trace] 1 +[pattern_trace] 0 diff --git a/src/cnt1sec.vhd b/src/cnt1sec.vhd new file mode 100644 index 0000000..a722ff9 --- /dev/null +++ b/src/cnt1sec.vhd @@ -0,0 +1,16 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +entity cnt1sec is + port ( + clk : in std_ulogic; + rst_n : in std_ulogic; + en_o : out std_ulogic); +end entity; + +architecture rtl of cnt1sec is +begin + +end architecture rtl; + diff --git a/src/cntm13.vhd b/src/cntm13.vhd new file mode 100644 index 0000000..9da61d4 --- /dev/null +++ b/src/cntm13.vhd @@ -0,0 +1,18 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +entity cntm13 is + port ( + clk : in std_ulogic; + rst_n : in std_ulogic; + up_i : in std_ulogic; + en_i : in std_ulogic; + cnt_o : out std_ulogic_vector(3 downto 0)); +end entity; + +architecture rtl of cntm13 is +begin + +end architecture rtl; + diff --git a/src/t_top_count.vhd b/src/t_top_count.vhd new file mode 100644 index 0000000..0372a94 --- /dev/null +++ b/src/t_top_count.vhd @@ -0,0 +1,75 @@ +library ieee; +use ieee.std_logic_1164.all; + +entity t_top_count is +end entity; + +architecture beh of t_top_count is + + signal sim_clk : std_ulogic; + signal sim_rst_n : std_ulogic; + signal sim_x : std_ulogic; + signal sim_y : std_ulogic; + + signal sim_sw : std_ulogic_vector(9 downto 0); + signal sim_key : std_ulogic_vector(3 downto 0); + signal sim_ledr : std_ulogic_vector(9 downto 0); + signal sim_ledg : std_ulogic_vector(3 downto 0); + signal sim_exp : std_ulogic_vector(7 downto 0); + signal sim_hex0 : std_ulogic_vector(6 downto 0); + + signal simstop : boolean := false; + +begin + + -- Stimuli clock generator + clk_p : process + begin + sim_clk <= '0'; + wait for 10 ns; + sim_clk <= '1'; + wait for 10 ns; + if simstop then + wait; + end if; + end process; + + -- Stimuli reset generator + sim_rst_n <= '0', '1' after 55 ns; + + -- Stimuli key push + stim_p : process + begin + sim_x <= '0'; + wait until rising_edge(sim_rst_n); + for i in 0 to 5 loop + wait until falling_edge(sim_clk); + end loop; + sim_x <= '1'; + wait until falling_edge(sim_clk); + wait until falling_edge(sim_clk); + sim_x <= '0'; + wait for 200 ns; + simstop <= true; + wait; + end process ; + + -- Device under test instantiation + dut : entity work.top_count + port map( + SW => sim_sw, + KEY => sim_key, + CLOCK_50 => sim_clk, + HEX0 => sim_hex0, + EXP => sim_exp, + LEDG => sim_ledg, + LEDR => sim_ledr + ); + + -- Connect stimuli to input signals + sim_key(0) <= sim_rst_n; + sim_key(1) <= sim_x; + sim_key(3 downto 2) <= "00"; + sim_sw <= "1010000001"; + +end architecture beh;
\ No newline at end of file diff --git a/src/top_count.vhd b/src/top_count.vhd new file mode 100644 index 0000000..57c6998 --- /dev/null +++ b/src/top_count.vhd @@ -0,0 +1,43 @@ +library ieee; +use ieee.std_logic_1164.all; + +entity top_count is +port ( SW : in std_ulogic_vector(9 downto 0); + KEY : in std_ulogic_vector(3 downto 0); + CLOCK_50 : in std_ulogic; + HEX0 : out std_ulogic_vector(6 downto 0); + EXP : out std_ulogic_vector(7 downto 0); + LEDG : out std_ulogic_vector(3 downto 0); + LEDR : out std_ulogic_vector(9 downto 0)); +end entity; + +architecture rtl of top_count is + signal clk : std_ulogic; + signal rst_n : std_ulogic; + signal x : std_ulogic; + signal en : std_ulogic; + signal cnt : std_ulogic_vector(3 downto 0); +begin + -- Assign the inputs to signals with reasonable names + clk <= CLOCK_50; + rst_n <= KEY(0); + x <= KEY(1); + + cnt <= "0000"; + + bin2seg_inst: entity work.bin2seg + port map( + bin_i => cnt, + seg_o => HEX0 + ); + + -- Set the outputs; + EXP(7 downto 4) <= cnt; + EXP(3 downto 0) <= (3 => en, + 2 => x, + 1 => rst_n, + 0 => clk); + LEDR <= SW; + LEDG <= KEY; + +end architecture rtl;
\ No newline at end of file diff --git a/vhdl_ls.toml b/vhdl_ls.toml index ba7cd67..b8740bd 100644 --- a/vhdl_ls.toml +++ b/vhdl_ls.toml @@ -12,4 +12,13 @@ top_shift.files = [ top_hex.files = [ 'src/bin2seg.vhd' ,'src/top_hex.vhd' + ] + +top_count.files = [ + 'src/bin2seg.vhd' + ,'src/ringcnt.vhd' + ,'src/cntm13.vhd' + ,'src/cnt1sec.vhd' + ,'src/top_count.vhd' + ,'src/t_top_count.vhd' ]
\ No newline at end of file |